From be504a6ef0f26b78216699d6995ccc8dee02a5c1 Mon Sep 17 00:00:00 2001 From: rubidium Date: Tue, 11 May 2010 20:48:06 +0000 Subject: (svn r19788) -Codechange: make FOR_EACH_SET_BIT not change the value of the passed bit variable, i.e. allow expressions as parameter --- src/station_gui.cpp | 2 +- 1 file changed, 1 insertion(+), 1 deletion(-) (limited to 'src/station_gui.cpp') diff --git a/src/station_gui.cpp b/src/station_gui.cpp index bc0e6066b..8638acd07 100644 --- a/src/station_gui.cpp +++ b/src/station_gui.cpp @@ -567,7 +567,7 @@ public: FOR_EACH_SET_BIT(i, this->facilities) { this->RaiseWidget(i + SLW_TRAIN); } - SetBit(this->facilities, widget - SLW_TRAIN); + this->facilities = 1 << (widget - SLW_TRAIN); this->LowerWidget(widget); } this->stations.ForceRebuild(); -- cgit v1.2.3-54-g00ecf